XilinxArtix-7FPGA快速入门、技巧及实例pdf下载pdf下载

XilinxArtix-7FPGA快速入门、技巧及实例百度网盘pdf下载

作者:
简介:本篇主要提供XilinxArtix-7FPGA快速入门、技巧及实例pdf下载
出版社:清华大学出版社
出版时间:2019-11
pdf下载价格:0.00¥

免费下载


书籍下载


内容介绍

产品特色


编辑推荐

(1)基于Xilinx公司的Artix-7 FPGA器件的硬件开发学习平台。

(2)书中既有足够的理论知识作支撑,也有丰富的例程进行实践,并且融入了笔者多年学习FPGA和开发过程中的经验和技巧。

(3)提供了24个典型工程实例,帮助读者从FPGA基础知识、逻辑设计概念、工具配置和使用、板级设计、FPGA入门和进阶实例等方面掌握FPGA开发。


内容简介

  《Xilinx Artix-7 FPGA快速入门、技巧及实例/清华开发者书库》基于Xilinx公司的Artix-7FPGA器件,以足够的理论知识与丰富的例程相结合介绍了FPGA的相关知识,并融人了作者多年学习FPGA和开发过程中的经验和技巧。配套开发平台包括丰富的入门和进阶外设,提供了24个典型工程实例,帮助读者从FPGA基础知识、逻辑设计概念、工具配置和使用、板级设计、FPGA入门和进阶实例等方面掌握FPGA开发。
  《Xilinx Artix-7 FPGA快速入门、技巧及实例/清华开发者书库》配套PPT课件和工程文件,请到清华大学出版社官方网站《Xilinx Artix-7 FPGA快速入门、技巧及实例/清华开发者书库》页面下载。
  《Xilinx Artix-7 FPGA快速入门、技巧及实例/清华开发者书库》适合作为高等院校相关专业FPGA课程的教材,也适合希望入门XilinxFPGA开发的工程师参考学习。
  读者按照《Xilinx Artix-7 FPGA快速入门、技巧及实例/清华开发者书库》的章节顺序学习,可以快速上手开发FPGA。

作者简介

  吴厚航[网名:特权同学],近10年的FPGA工程实践经历,擅长记录、分析并总结各种FPGA开发经验与技巧,也非常乐于分享。活跃于各大电子技术网站的FPGA社区或板块,多年来笔耕不辍、风雨无阻,不断发表FPGA相关文章,总点击量超过300万。著有多本FPGA技术相关图书,其诙谐的文字、贴近读者实际需求的知识点与经验技巧分享,赢得了广大读者的一致认可。
  
  尤恺元,乌克兰国立航空大学电子飞行器设备系硕士研究生,长期从事无线通信接收机、发射机等关键技术的研发,精通音频信号信源压缩相关算法的实现以及图像处理相关算法的实现。先后任职于基辅斯拉特卡电子有限公司、北京巨数数字科技有限公司、北京泰豪装备电子科技有限公司。
  现任上海威三教育科技有限公司教育总监,主要负责深度学习项目的课程转化和课程实施;在网络平台开设多门公开课,致力于传播技术知识。
  
  杨亮,硕士,现任上海威三教育科技有限公司教育培训部经理,8年FPGA系统开发经验,主要研究基于FPGA的数字信号处理、通信、控制、视频/图像处理等领域的开发设计工作,研发的产品已经在国内外大型工程项目中得到应用。精通FPGA硬件设计方法和技巧,善于解决工程中遇到的疑难杂症:善于沟通,教学风格风趣幽默,曾为国内外大中型知名企业和科研院所主持过数次企业员工内训。

内页插图

精彩书评

  ★本书站在FPGA学习者的角度组织内容,从FPGA基础概念、逻辑设计基础、板级设计入手,到运行流程的工程实例,再到FPGA基础外设实例、常用接口设计实例、综合实例以及贯穿其中的一些仿真设计、IP设计和板级调试技巧,覆盖FPGA设计师所需的主要知识点和技能提升路径,且不依赖其他图书可独立使用,非常值得推荐。
  ——汤勇明,东南大学电子科学与工程学院、微电子学院党委书记
  
  ★随着电子技术的发展,FPGA技术得到了越来越多的关注,本书基于Xilinx公司的Artix-7FPGA器件的硬件开发学习平台,讲述FPGA开发过程中所用的知识和技术,理论与实践相结合,让读者能够轻松、快速地掌握FPGA技术。
  ——宋廷强,青岛科技大学大数据学院副院长
  
  ★本书保持了特权同学(吴厚航网名)编写图书专注于工程实践的特点,实用性和可操作性极强。书中每一章的内容都曾在网络连载,已被网络社区普遍认可。本书现在经过特权同学的再次整理,更成体系,值得初学者仔细研读。
  ——陆佳华,Xilinx大中华区教育与创新生态高级经理
  
  ★本书从初学者的视角,将硬件接口原理、FPGA设计技巧和Xilinx开发工具的使用融合在一起,零基础读者也可以快速上手和入门,非常适合作为学习FPGA的参考书。书中的实例和技巧简单、实用,对于在职的FPGAI程师也有一定的参考价值。
  ——姚远,Xilinx授权培训中心威三学院创始人
  
  ★良好的开始是成功的一半,本书内容详尽,实例丰富,是一本可以帮助读者快速了解FPGA,获得FPGA新技能的好助手、好作品。
  ——甄建勇,NvidiaAI高级架构师

目录

目录


第1章万丈高楼平地起——FPGA基础入门


1.1FPGA基础概念


1.1.1FPGA是什么


1.1.2FPGA与ASIC


1.1.3FPGA、ARM和DSP


1.1.4Verilog与VHDL


1.1.5Altera与Xilinx


1.2FPGA发展概述


1.3FPGA的优势


1.4FPGA应用领域


1.5FPGA开发流程


1.6FPGA开发技能


1.7FPGA进阶之路


第2章化繁为简0和1——逻辑设计基础


2.10和1——精彩世界由此开始


2.2表面现象揭秘——逻辑关系


2.2.1基本逻辑门电路


2.2.2逻辑门电路与二进制运算


2.2.3逻辑门电路与触发器


2.2.4时序逻辑与组合逻辑


2.3内里本质探索——器件结构


2.3.1逻辑门电路的晶体管实现


2.3.2基于LUT的FPGA门电路实现


2.3.3Xilinx FPGA的可配置逻辑块


2.3.4Xilinx FPGA的内部结构


2.4从现象到本质——映射关系


2.4.1HDL代码


2.4.2RTL综合


2.4.3综合


2.4.4实现


2.4.5生成烧录文件


第3章码农人生也精彩——Verilog语法、代码风格与书写规范


3.1语法学习的经验之谈


3.2可综合的语法子集


3.3代码风格与书写规范






第4章慢工细活出工匠——FPGA板级电路设计


4.1板级电路整体架构


4.2电源电路


4.3FPGA时钟与复位电路


4.3.1FPGA时钟晶振电路


4.3.2FPGA复位电路


4.4FPGA配置电路


4.5FPGA供电电路


4.6DDR3芯片电路


4.7UART芯片电路


4.8LVDS接口电路


4.9RTC接口电路


4.104×4矩阵按键电路


4.11DAC芯片电路


4.12蜂鸣器、流水灯、数码管与拨码开关电路


4.13外扩LCD接口、超声波接口电路


4.14FPGA引脚定义


第5章工欲善其事,必先利其器——软件安装与配置


5.1Xilinx账户注册与Vivado软件下载


5.1.1Xilinx账户注册


5.1.2Vivado下载


5.2Vivado安装与免费License申请


5.2.1Vivado安装


5.2.2免费License申请


5.3文本编辑器Notepad++安装


5.4Vivado中使用Notepad++的关联设置


5.5串口芯片驱动安装


5.5.1驱动安装


5.5.2设备识别


5.6TortoiseSVN安装


第6章千里之行始于足下——第一个完整的工程实例


6.1蜂鸣器实例


6.1.1功能概述


6.1.2新建Vivado工程


6.1.3创建工程源码、约束和仿真文件


6.1.4功能仿真


6.1.5编译


6.2Xilinx 7系列FPGA配置概述


6.2.1FPGA配置比特流的大小


6.2.2FPGA加载配置方式选择


6.2.3配置引脚功能定义


6.3XADC温度监控界面


6.4bit文件的FPGA在线烧录


6.5mcs文件的QSPI Flash固化


6.5.1FPGA配置选项


6.5.2生成mcs文件


6.5.3下载mcs文件


第7章代码也要5S——基于SVN的工程源码备份管理


7.1SVN介绍


7.2SVN使用实例


7.2.1第一次备份工程文件


7.2.2提交新版本工程文件


7.2.3取回老版本工程文件


第8章实践出真知——基础入门实例篇


8.1拨码开关的LED控制实例


8.1.1功能概述


8.1.2代码解析


8.1.3板级调试


8.2流水灯实例


8.2.1功能概述


8.2.2代码解析


8.2.3板级调试


8.3PLL的IP核配置实例


8.3.1功能概述


8.3.2模块化设计


8.3.3PLL IP核配置说明


8.3.4代码解析


8.3.5板级调试


8.4自定义IP核创建与配置实例


8.4.1创建IP核


8.4.2移植IP核


8.4.3配置、例化IP核


8.4.4板级调试


8.538译码器实例


8.5.1功能概述


8.5.2代码解析


8.5.3板级调试


8.6按键消抖实例


8.6.1按键消抖原理


8.6.2功能概述


8.6.3代码解析


8.6.4板级调试


8.7数码管驱动实例


8.7.1数码管驱动原理


8.7.2功能概述


8.7.3代码解析


8.7.4板级调试


8.84×4矩阵按键实例


8.8.1矩阵按键工作原理


8.8.2功能概述


8.8.3代码解析


8.8.4板级调试


8.9UART的loopback实例


8.9.1功能概述


8.9.2代码解析


8.9.3板级调试


8.10超声波测距实例


8.10.1功能概述


8.10.2距离计算公式实现


8.10.3进制换算实现


8.10.4乘法器IP核添加与配置


8.10.5除法器IP核添加与配置


8.10.6代码解析


8.10.7板级调试


8.11SPI接口DAC驱动控制


8.11.1DAC芯片概述


8.11.2功能概述


8.11.3代码解析


8.11.4板级调试


8.12I2C接口RTC时间显示控制


8.12.1功能概述


8.12.2I2C协议介绍


8.12.3代码解析


8.12.4板级调试


8.137寸液晶屏ColorBar显示驱动


8.13.1功能概述


8.13.2装配说明


8.13.3代码解析


8.13.4板级调试


第9章无处不模拟——XADC实例篇


9.1基于XADC的A/D采集显示


9.1.1功能概述


9.1.2XADC的 IP核创建与配置


9.1.3代码解析


9.1.4板级调试


9.2基于XADC的FPGA内部温度采集显示


9.2.1功能概述


9.2.2查找表生成


9.2.3ROM IP核添加与配置


9.2.4板级调试


第10章存储最重要——DDR3实例篇


10.1DDR3 IP核配置与仿真


10.1.1DDR3 IP核概述


10.1.2DDR3 IP核配置


10.1.3DDR3 IP核仿真


10.2基于在线逻辑分析仪调试DDR3数据读写


10.2.1功能概述


10.2.2DDR3控制器IP接口时序解析


10.2.3代码解析


10.2.4在线逻辑分析仪配置


10.2.5在线逻辑分析仪调试


10.3基于UART命令的DDR3批量数据读写


10.3.1功能概述


10.3.2代码解析


10.3.3板级调试


第11章我愿全速漂移——LVDS实例篇


11.1LVDS数据收发实例


11.1.1功能概述


11.1.2bit align处理


11.1.3代码解析


11.1.4装配说明


11.1.5板级调试


11.2带CRC校验的LVDS数据收发实例


11.2.1功能概述


11.2.2CRC校验基本原理


11.2.3CRC8检验代码生成


11.2.4代码解析


11.2.5板级调试


第12章实战演练——综合项目实例篇


12.1倒车雷达


12.1.1功能概述


12.1.2代码解析


12.1.3板级调试


12.2波形发生器


12.2.1功能概述


12.2.2CORDIC的IP核配置与例化


12.2.3代码解析


12.2.4板级调试


12.3工业现场监控界面设计


12.3.1功能概述


12.3.2代码解析


12.3.3字符取模


12.3.4板级调试


第13章雕虫小技——板级在线调试篇


13.1Vivado在线调试概述


13.2在线逻辑分析仪应用实例


13.2.1探测阶段


13.2.2实现阶段


13.2.3分析阶段


13.3虚拟I/O应用实例


13.3.1探测阶段


13.3.2实现阶段


13.3.2分析阶段


参考文献


前言/序言

  第一次听说FPGA,那是2008年刚刚找到工作时,从主管口中得知将来工作需要用到它。“说者无意,听者有心”,在板级设计、DSP技术、EMC等众多词汇中我唯独牢牢记住了第一次听说的“FPGA”。于是,回到学校,趁着还有三四个月才上班的时间,初学单片机那股劲儿立刻涌上心头,查找各种资料并寻找入门级的开发板,甚至还专门找培训机构“镀金”,目标只有一个——快速搞定这门技术。不承想转眼十年过去了,自己竟也是“深入其中,不能自拔”。虽说现在不用单纯靠着这门技术活儿“吃饭”,但是靠着这门技术的“百般磨炼”,也算是“修成正果”,在电子技术的行业中站稳脚跟。
  伴随着电子技术的发展,FPGA技术也是越来越火,在传统嵌入式应用领域,它的成本虽然还是高高在上,但是它给电子系统所带来的不可限量的速度和带宽,及其在灵活性、小型性方面的优势,越来越为各种对性能要求高、偏重定制化需求的开发者所青睐。另外,得益于FPGA固有的并行性对系统速度和带宽的提升,以及可编程性所带来的灵活性,正处于“风口浪尖”的人工智能、云计算、大数据等相关领域也纷纷找FPGA“攀亲戚”。
  在资源匮乏的年代,学习FPGA是痛苦的,甚至是极其痛苦的;而当FPGA被一次次的“推销放大”之后,越来越多的人投入FPGA生态链的构建之中,今天学习FPGA的同学们是幸运的。学习路上,他们不用走我们走过的弯路,也不用再苦恼各种开发工具拙劣的、低级的用法和无解的bug。况且,还有很多像我们这样乐于分享的过来人,会不遗余力地将自己的所学、所悟通过博客、专栏、图书甚至视频等方式展现给大家。
  笔者多年来一直笔耕不辍,撰写各种FPGA入门和进阶的文章,意在“授人以鱼”的过程中“授人以渔”。无论如何,总是期望寻找一种最有效的FPGA入门方式,让大家轻松、快速地掌握这门技术,并且能够在工程实践中派上用场。
  而编写本书也是机缘巧合,与威视锐姚总及旗下V3学院的尤老师、刁老师的一些交流,大家都希望把FPGA这门技术发扬光大,做一些实实在在能够帮到FPGA初学者的工作。回顾这些年笔者所撰写的FPGA相关文章,深感若是再花一点儿气力,将这些文章再做一些深加工,确实也可以给很多FPGA初学者一些具体、实在的帮助。同时,笔者结合自身的学习经历,也为广大学习者量身打造了基于目前主流的、高性价比的XilinxArtix-7FPGA器件的硬件开发学习平台。理论和实践相结合的方式,是已经被验证的学习FPGA的最佳途径。总而言之,通过这本书和我们提供的一些学习资源,衷心地期望能够帮助大家更好地掌握FPGA这门技术。